$USD
  • EUR€
  • £GBP
  • $USD
NEWS AI

Leader in RISC-V Technology: How These 15 Companies Are Changing the Chip Industry (Part 2)

DFRobot Dec 06 2023 1313

In the previous article, we introduced Alibaba's T-Head Semiconductor, which promotes the popularization of RISC-V technology with an open-source approach, from Espressif Systems' innovative wireless SoC chips to Allwinner Technology and GigaDevice's breakthroughs in smart application processors and memory fields. Each company has demonstrated the strong potential and practical application of the RISC-V architecture in their respective fields. Today's article will briefly introduce the companies that provide IP cores and architectural design for RISC-V as well as those that offer software, simulation, and tools for RISC-V.

 

IP Cores and Architectural Design

These companies specialize in designing "cores" of RISC-V processors that can be used by other companies in their chip designs. The core is the central part of a processor responsible for executing computing tasks. These companies do not produce physical chips; instead, they provide designs that other companies can purchase to manufacture their own chips.

 

SiFive

SiFive is a fabless semiconductor company that provides commercial processor IP and silicon chips based on the RISC-V Instruction Set Architecture (ISA). SiFive's products include cores, System on Chips (SoCs), IP, and development boards. The company is one of the first to produce chips that implement the RISC-V ISA.

As a leader in the RISC-V space, SiFive aims to guide the potential of RISC-V from mid-to-low-end MCUs and IoT markets gradually into high-performance applications such as automotive. SiFive's RISC-V processors have already covered AI, automotive electronics, data centers, mobile computing, and consumer electronics.

SiFive IP Cores and Architectural Design

SiFive IP Cores and Architectural Design

SiFive's processor core IP product portfolio, based on the RISC-V instruction set, offers four major series of processor products suitable for various application scenarios:

 

  • SiFive Essential: Offers a wide range of processor solutions, allowing users to choose from a variety of standard processor IPs off the shelf. Customers can also use SiFive's exclusive Core Designer tool to configure and construct the processor, achieving various customized processor cores.
  • SiFive Performance: This series is aimed at providing high-performance processor IP with area optimization for application-level use. This series of RISC-V application processors is suitable for wearables, smart homes, mobile devices, networking equipment, and other applications.
  • SiFive Intelligence: This series adopts the latest software-first design approach in processor design, featuring high-performance vector operations to meet the needs of various applications in artificial intelligence and deep learning.
  • SiFive Automotive: This series of processor IP is designed for high-performance, high-efficiency, and system safety needs in electronic product architectures. The design covers various applications such as in-vehicle infotainment, electronic cockpits, network connectivity, ADAS advanced driver assistance systems, and electronics.

 

Andes Technology

Andes Technology Corporation is a company headquartered in Taiwan, specializing in 32/64-bit embedded CPU cores. The company is one of the founding premier members of the RISC-V Foundation, mainly focusing on the embedded market, providing integrated development environments and related hardware and software for System on Chip (SoC) development.

With over 15 years of establishment, Andes Technology has become a leading global supplier of embedded microprocessor intellectual property. The company is dedicated to the research and development, design, manufacturing, and marketing of embedded processor intellectual property, related hardware/software development platforms, and toolchains. Andes Technology's solutions include AndeStar architecture, AndesCore processors, Andes Custom Extensions, AndeShape platforms, and more.

Andes Technology operates in Taiwan, Mainland China, the United States, and international markets. The company's main products and services include high-performance/low-power central processing units (CPUs) and related system-level chip platforms, as well as development tools and software.

Andes Technology recently released its latest innovative products – the AndesCore™ D23 and N225 RISC-V processors, designed to meet the dynamic demands of the Internet of Things (IoT) and other applications.

Andes Technology
 

AndesCore™ D23:

  • The D23 is a 3-stage 32-bit RISC-V CPU core designed for low-power, high-efficiency embedded processing, and IoT applications.
  • It achieves 4.13 Coremark/MHz performance, with a maximum operating frequency of up to 800MHz under worst-case conditions.
  • The D23 includes security features such as Enhanced and Supervisory Mode Physical Memory Protection (ePMP/sPMP) to improve the security level of the CPU core.
  • It features a simple 3-stage pipeline, configurable cache from 1 KB to 32 KB, and only 16 to 32 general-purpose registers.
  • The D23 also includes built-in instruction and data caches, as well as ECC software functionality.

 

AndesCore™ N225:

  • The N225 is a 32-bit 3-stage pipeline CPU IP core based on the AndeStar™ V5 architecture, suitable for embedded applications with a small gate count and certain dual-issue capabilities.
  • In addition to the common RISC-V IMAC, the N225 also supports recently approved ISA extensions such as B (Bit manipulation) and Zce (Code size reduction).
  • The N225 implements the latest RISC-V extension support, including RV32 IMACBZce non-privileged extensions as well as machine/user modes and Enhanced Physical Memory Protection (ePMP).
  • This processor is designed for deep embedded processing, boasting performance figures of 3.95 Coremark/MHz and 1.8 DMIPS/MHz.
  • The N225 builds on the success of the N22, introducing a new microarchitecture and integrating the latest RISC-V extensions.

 

Nuclei System Technology

Nuclei System Technology Co., Ltd. is a leading RISC-V core intellectual property company based in China, established in 2018. The company is the first in China to commercialize RISC-V processor licensing, committed to developing high-performance, low-power processors and their related System on Chip (SoC) platforms to serve the rapidly growing embedded system application field.

Nuclei System Technology

Nuclei System Technology's semiconductor products are designed for the core of intelligent electronics, telecommunications, and computer processing. The company has developed configurable low-power and high-performance 32/64-bit RISC-V processors and related solutions for AI applications in the IoT field.

The company's founder, Bob Hu, is the creator of China's first open-source RISC-V core – the Hummingbird E203, and also the author of the first Chinese RISC-V book. Nuclei System Technology has a strong focus and significant influence on the development of RISC-V core intellectual property, expanding China's RISC-V market and ecosystem.

 

Software, Simulation, and Tools

These companies provide software tools and simulation platforms that are used for the development and testing of RISC-V-based processor designs. These tools are crucial for chip designers, as they allow testing and optimization of designs before actual chips are manufactured.

 

Imperas

Imperas specializes in developing advanced modeling and simulation technologies that cover a variety of popular microprocessor instruction set architectures (ISAs), including Arm, MIPS, Power, and the recent RISC-V. Imperas products are widely used by the world's leading semiconductor and systems companies.

Imperas recently launched a new RISC-V verification product called ImperasDV™, an integrated RISC-V processor verification solution. RISC-V is an open standard instruction set architecture that allows any System on Chip (SoC) developer to design and extend custom processors while maintaining compatibility with a growing ecosystem of supporting tools and software.

Imperas

Furthermore, Imperas developed a product known as the "Imperas RISC-V Golden Reference Model," which is an "envelope model" covering the entire RISC-V ISA, including privileged modes. It supports the latest extensions such as Crypto (scalar), Bitmanip, Vector, and DSP/SIMD, as well as user-defined custom instructions and extensions.

The Imperas RISC-V Golden Reference Model is an advanced RISC-V processor model provided by Imperas for software development, testing, and verification purposes, particularly in the early stages of processor design and system development.


 

Key features of this model include:

  • Accuracy: As a "golden reference," this model provides precise and reliable simulation of RISC-V processor behavior, which is crucial for verifying new hardware designs or for software to run on various RISC-V configurations.
  • Flexibility: It supports various variants and extensions of RISC-V, allowing developers to simulate different processor configurations according to their specific needs.
  • Advanced simulation capabilities: Including advanced debugging and analysis tools, which are essential for developing complex embedded systems and software.
  • Broad application: The model is used for processor IP core verification, system-level testing, software development, performance optimization, and more.

Imperas' RISC-V Golden Reference Model is a powerful tool that helps engineers and developers to develop and verify software and hardware without the need for actual hardware.

 

Synopsys

Synopsys is an American company specializing in Electronic Design Automation (EDA), headquartered in Sunnyvale, California, focusing on silicon design and verification, silicon intellectual property, and software security and quality. The company provides tools and services for the semiconductor design and manufacturing industry, including tools for logic synthesis and integrated circuit physical design.

Synopsys

Here are some key RISC-V related products:

  • MetaWare Development Toolkit: A complete software development suite for ARC processors, with support for RISC-V. This toolkit includes a compiler, debugger, and libraries, supporting developers to efficiently write and debug code on RISC-V architectures.
  • Virtualizer Development Kits (VDKs): Synopsys offers VDKs for RISC-V, which are virtual prototypes for software development, testing, and verification. These VDKs support the development and testing of RISC-V software without the need for actual hardware.
  • HAPS FPGA-Based Prototyping Solutions: Although HAPS is not specifically designed for RISC-V, it supports the implementation of RISC-V processors and systems on FPGAs, allowing developers to physically prototype test their designs.

 

In this article, we have reviewed several companies leading technological advancements in the RISC-V domain. SiFive stands out with its extensive processor IP product line, catering to multiple markets from IoT to high-performance computing. Andes Technology brings power-efficient and high-efficiency solutions to the embedded market with its AndesCore™ D23 and N225 processors. Nuclei System Technology, on the other hand, showcases the rapid development of China in the RISC-V core intellectual property area.

In terms of software, simulation, and tools, Imperas offers advanced modeling and simulation technologies, while Synopsys accelerates the development and market launch of RISC-V designs with its EDA tools. The contributions of these companies are not only propelling the technical innovation of the RISC-V architecture but also fostering collaboration and development within the global semiconductor industry. With such collaborative efforts, RISC-V is becoming increasingly robust, signaling a future for the industry that is more open and interconnected.

The above is a summary of the products and business situations of 15 RISC-V-related companies we have organized for you. For more detailed information about each company, you can visit their official websites.

REVIEW