$USD
  • EUR€
  • £GBP
  • $USD
NEWS AI

Leader in RISC-V Technology: How These 15 Companies Are Changing the Chip Industry (Part 1)

DFRobot Dec 06 2023 3359

With the rapid development of technology, the chip industry is undergoing unprecedented changes. In particular, with the rise of the open instruction set architecture RISC-V, it has gained widespread attention in the global semiconductor field due to its customizability and efficiency. This article will take you deep into the application of RISC-V in chip design and manufacturing and introduce several companies that have made significant achievements in this field.

From Alibaba's T-Head Semiconductor, which promotes the popularity of RISC-V technology in an open-source manner, to Espressif Systems' innovative wireless SoC chips, to Allwinner Technology and GigaDevice's breakthroughs in the field of intelligent application processors and memory, each company has demonstrated the powerful potential and practical application of RISC-V architecture in their respective fields.

At the same time, we will also focus on companies that specialize in IP core and architecture design, such as SiFive and Jingxin Technology. They provide flexible RISC-V processor cores for chip design to support the diverse needs of the industry. Software and tool providers like Imperas and Synopsys provide critical technical support for the design and verification of RISC-V through their simulation platforms and development tools.

Through this article, we will demonstrate how RISC-V technology, driven by innovation and collaboration, continues to expand its influence in the global semiconductor industry. We will also explore how these companies are growing together in this open ecosystem, leading the industry into a new era.

 

Chip Design and Manufacturing

Companies in this category focus on designing and manufacturing physical microprocessor chips. These chips are based on the RISC-V instruction set architecture and can be used in various electronic devices such as smartphones, computers, and IoT devices.

 

Alibaba T-Head

Alibaba T-Head

Alibaba T-Head Semiconductor Co., Ltd., a wholly-owned semiconductor chip business entity of Alibaba Group, was established on September 19, 2018. T-Head Semiconductor focuses on a full-stack product series of edge-cloud integration, covering areas such as data center AI chips and processor IP authorization, achieving full-link coverage from chip design to the edge.

T-Head Semiconductor has made significant contributions to the development and promotion of RISC-V technology. In October 2021, T-Head announced the open-source of its XuanTie RISC-V series processors and opened related tools and system software. This initiative is of great significance to the development of the RISC-V ecosystem in China. T-Head's open-source XuanTie RISC-V series processors include E902, E906, C906, and C910, all designed based on the RISC-V architecture. The E series is positioned at the MCU level and is suitable for custom chips in industries such as IoT.

In addition, T-Head has also released the RISC-V high-efficiency processor XuanTie C908, which can be applied in various scenarios such as smart interactions, multimedia terminals, AR/VR, and wireless communication. XuanTie C908 achieves energy efficiency breakthroughs in the RISC-V architecture, supports multi-core and multi-cluster architecture, adopts an efficient 9-stage dual-issue in-order pipeline, and has a clock frequency of up to 2 GHz. This processor has been upgraded in microarchitecture, adopting the RISC-V Vector 1.0 standard for the first time and optimizing AI operators and algorithm libraries, significantly improving its performance.

T-Head has also made significant contributions to the mature development of RISC-V technology. Its globally first full-stack open-source of series processors and basic software not only promotes the maturity of the RISC-V architecture but also helps accelerate the integration of RISC-V software and hardware technologies, promoting the practical implementation of innovation.

In August 2023, T-Head announced its first self-developed RISC-V AI platform at the RISC-V China Summit, which supports more than 170 mainstream AI models. T-Head also plans to release the next version of its C920 chip within the year to further strengthen its presence in the AI field. Additionally, T-Head will launch a configurable Matrix RISC-V processor core to enhance parallel computing capabilities and optimize edge AI performance.

In summary, Alibaba T-Head Semiconductor has played an important role in the development, application, and promotion of RISC-V technology. Through open-source projects and innovative products, it has made significant contributions to the global development of RISC-V technology.

Representative Products: XuanTie RISC-V series processors including E902, E906, C906, C910, C908, and self-developed RISC-V AI platform.

The C series processors are typically designed for more complex computing tasks and applications with high-performance requirements. For example, the C906 and C910 processors belong to this series, surpassing the MCU-level processors of the E series (such as E902 and E906) in terms of functionality and performance.

 

Espressif Systems

Espressif Systems

Espressif Systems is a company that focuses on high-performance, highly integrated, and low-power wireless SoC chips, and has a close collaboration with RISC-V architecture. Espressif Systems is not only a founding strategic member of the RISC-V International Foundation and a founding member of the China RISC-V Industry Alliance but also is committed to the development of RISC-V in China and globally.

Espressif Systems' RISC-V related products mainly include the ESP32-C series. Among them, the ESP32-C6 in this series is Espressif Systems' first 32-bit RISC-V SoC that integrates Wi-Fi 6 and Bluetooth 5 (LE). It has extremely low power consumption and high cost-effectiveness, significantly improving the Wi-Fi transmission efficiency of IoT devices and providing secure and reliable connectivity performance. ESP32-C6 has passed Wi-Fi Alliance certification, features a RISC-V 32-bit single-core processor with a clock frequency of up to 160 MHz, and is equipped with 400 KB SRAM, 384 KB ROM, and supports various external flash memories.

ESP32-C6 also supports 2.4 GHz Wi-Fi 6 protocol (802.11ax) and is backward compatible with 802.11 b/g/n, making important contributions to optimizing and improving the performance of IoT devices. It supports uplink and downlink Orthogonal Frequency Division Multiple Access (OFDMA) access and downlink Multi-User Multiple-Input Multiple-Output (MU-MIMO) access mechanisms, enabling efficient and low-latency operation in crowded wireless network environments. In addition, its security mechanisms include secure boot based on the RSA-3072 algorithm and flash encryption based on the AES-128-XTS algorithm, ensuring device security at the hardware and software levels.

Another important product from Espressif Systems is the ESP32-C5, the world's first RISC-V-based SoC that integrates 2.4&5 GHz dual-band Wi-Fi 6 and Bluetooth 5 (LE). The ESP32-C5 is designed for IoT applications that require efficient wireless transmission. It supports 2.4&5 GHz dual-band Wi-Fi 6 (802.11ax) and is backward compatible with 802.11b/g/n. This chip adds a 5 GHz Wi-Fi 6 product line to Espressif's AIoT product matrix, demonstrating Espressif Systems' new breakthroughs in Wi-Fi 6 development.

 

Allwinner Technolog

Allwinner Technology

Allwinner Technology is a major supplier of intelligent application processor SoCs. Its main business includes research, development, and design of intelligent application processor SoCs, high-performance analog devices, and wireless communication chips. The company's products meet the application requirements of consumer, industrial, and automotive fields and are widely used in various product markets such as smart hardware, smart home appliances, smart IoT, smart automotive electronics, tablet computers, network set-top boxes, as well as power analog devices and wireless communication modules. The company has been committed to providing customers with system-level large-scale mixed-signal SoCs, intelligent power management chips, wireless communication chips, and related software and hardware research and application technology development.

As a partner of T-Head Semiconductor's XuanTie preferred chip program, Allwinner Technology has achieved large-scale production of chips with RISC-V, including D1 series, V85X series, and R128 series (intelligent voice), among other products and applications.

 

D1 series (video codec)

  • Architecture and core: The D1 series chips are processors based on the RISC-V architecture and are the world's first general-purpose SoCs developed based on the XuanTie C906. They integrate a 64-bit XuanTie C906 RISC-V CPU and HiFi4 DSP, providing efficient computing capabilities.
  • Video codec: The D1 supports video codec for mainstream formats and provides display output interfaces such as RGB/LVDS/MIPI DSI/HDMI/CVBS out, meeting various display requirements.
  • Application areas: The D1 chips are used in various fields such as smart cities, smart cars, smart commercial displays, smart home appliances, smart offices, and research and education. They support up to 4K H.265/H.264 decoding and can be equipped with up to 2GB DDR3.

 

V85X series (intelligent vision)

  • Core architecture: The V85X series platform includes models such as V853, V853s, V851s, and V851se. These chips have Cortex-A7 core@900MHz, RISC-V@600MHz, and a 0.5 TOPS NPU.
  • Technical specifications: The V85X chips are manufactured using a 22nm process and are built based on ARM A7 and XuanTie E907 cores. They feature a 1T computing power NPU and are equipped with StarFive's self-developed smart video engine and high-performance ISP image processor.
  • Features and applications: The V85X series focuses on the field of intelligent vision, with high performance and low power consumption characteristics. These chips are suitable for upgrading industries related to smart locks, attendance access control, network cameras, dashcams, smart desk lamps, and other intelligent applications. They adopt a three-core heterogeneous design, combining ARM Cortex-A7 main core, RISC-V coprocessor core, and AI NPU.

 

R128 series (intelligent voice)

  • Design and features: R128 is a highly integrated SoC designed for audio and video decoding, mainly used in IoT and dedicated voice interaction processing solutions. It integrates MCU, RISC-V, DSP, CODEC, WIFI/BT, and PMU on a single chip, providing a mature and complete ecosystem for system, application, and network connection development.
  • Storage and interfaces: R128 integrates 8MB/16MB/32MB PSRAM and supports audio and video decoding, large-capacity storage, scanning, and network connections. It also has various audio interfaces such as IIS/PCM, OWA, DMIC, LINEOUT, MICIN, as well as general communication interfaces IIC, UART, SDIO, SPI, and ISO7816 card interfaces.
  • Application scope: R128 is mainly used in audio and video decoding, IoT, and dedicated voice interaction processing solutions. It has rich built-in functions and is suitable for various smart devices.

 

GigaDevice

GigaDevice
 

GigaDevice is a globally leading chip supplier without a wafer fab. Its core products include memory (such as Flash and niche-type DRAM), 32-bit general-purpose microcontrollers (MCUs), intelligent human-machine interaction sensors, analog products, and overall solutions.

GigaDevice's core products in the RISC-V field mainly focus on 32-bit general-purpose MCUs, which have been widely used in IoT and other related fields due to their high performance and low power consumption. GigaDevice is also actively promoting the development of the RISC-V ecosystem by providing rich hardware and software support, promoting the application of RISC-V technology in a wider range of scenarios.

 

GD32VF103 series MCU

The GD32VF103 series MCU adopts the Bumblebee processor core based on RISC-V. These products are jointly developed by GigaDevice and C-SKY Microsystems, a leading Chinese RISC-V processor core IP and solution provider. They are mainly commercial RISC-V processor cores for IoT and other ultra-low-power applications. In addition, GigaDevice has also launched the GD32V series RISC-V core 32-bit general-purpose MCU, which builds a complete RISC-V development ecosystem by providing rich development boards and application software support.

In terms of performance, the GD32VF103 series 32-bit RISC-V MCUs have a high frequency of up to 108 MHz, providing a balance between high performance and low power consumption, and offering various peripheral options. These products support zero-wait-state Flash access, ensuring efficient performance.

 

GD32VW553 series MCU

GigaDevice recently launched the GD32VW553 series Combo Wireless MCU, a microcontroller based on the RISC-V core. This demonstrates GigaDevice's active participation and innovation in the RISC-V technology field.

The main features of the GD32VW553 series MCU include its use of a new open-source instruction set architecture RISC-V processor core with a clock frequency of up to 160 MHz. Additionally, this MCU is equipped with advanced DSP hardware accelerators, a double-precision floating-point unit (FPU), and instruction extension interfaces, providing excellent energy efficiency and flexible scalability. The GD32VW553 series MCU also integrates a 2.4GHz Wi-Fi 6 RF module, supporting the IEEE 802.11ax standard.

The GD32VW553 series MCU is designed for IoT applications, supporting Wi-Fi 6 and Bluetooth 5.2 Low Energy (LE), and providing various power modes and low-power designs suitable for different network environments and application requirements.

 

StarFive

StarFive
 

StarFive is a company focused on RISC-V technology and ecosystem, aiming to become a driver and leader in the global RISC-V technology and ecosystem. Established in 2018, StarFive is a domestic high-tech enterprise with independent intellectual property rights, mainly providing CPU IP, SoCs, development boards, and other products based on RISC-V.

StarFive has released a series of important RISC-V-related products and technologies, including a new RISC-V processor core and multi-core subsystem IP platform, as well as the first RISC-V-based IoT security chip "Ganghua Core," which has achieved sales of over a million units. In addition, StarFive has collaborated with Wind River to launch the StarFive VisionFive 2 RISC-V high-performance embedded computer.

Of particular note are the two newly developed RISC-V processor core products from StarFive, Dubhe-90 and Dubhe-80. Dubhe-90 is designed for "maximum performance" and is the flagship product of the Dubhe Max Performance series. Dubhe-80, on the other hand, focuses on "high energy efficiency" and is the first product in the Dubhe Efficiency Performance series.

 

Dubhe-90

  • Key features: Dubhe-90 is designed for "maximum performance" and is the flagship product of the Dubhe Max Performance series.
  • Application areas: Dubhe-90 is primarily targeted at high-end applications such as PCs, high-performance network communication, machine learning, and data centers. These fields typically require high-performance processors to handle large amounts of data and complex computing tasks.

 

Dubhe-80

  • Key features: Dubhe-80 focuses on "high energy efficiency" and is the first product in the Dubhe Efficiency Performance series. It offers a 50% improvement in energy efficiency while maintaining performance close to Dubhe-90 (only 20% performance difference).
  • Technical details: Dubhe-80 is a 64-bit commercial processor core based on the RISC-V instruction set architecture. It adopts a 9+ stage pipeline, three-issue, out-of-order execution design. Its SPECint2006 score is 8.0/GHz, surpassing the ARM Cortex-A75 in performance.
  • Supported instruction sets: Dubhe-80 supports the most complete RISC-V instruction sets to date, including RV64GC, bit manipulation extension B (Bitmanip 1.0), vector extension V (Vector 1.0), and virtualization extension H (Hypervisor 1.0), among others.
  • Application areas: Due to its high energy efficiency, Dubhe-80 is suitable for various applications such as mobile, desktop, industrial control, artificial intelligence, and automotive.

Overall, Dubhe-90 and Dubhe-80 represent StarFive's technological pursuit in high performance and high energy efficiency dimensions, respectively. Dubhe-90 is more suitable for high-end applications that require ultimate computing performance, while Dubhe-80 is more applicable to application scenarios that require a balance between performance and energy efficiency. The launch of these two products demonstrates StarFive's technological innovation and market positioning in the RISC-V field.

 

Microchip Technology

Microchip Technology

Microchip Technology is a company with a significant presence in the field of embedded control solutions. The company's main products include microcontrollers (MCUs), mixed-signal, analog, and Flash-IP integrated circuits. Microchip Technology is particularly well-known for its strength in low-end 8-bit MCUs, which are widely used in various devices ranging from remote controls to garage door openers to electric windows in cars.

Microchip Technology's relationship with RISC-V is mainly reflected in its Mi-V ecosystem. The Mi-V ecosystem provides tools and resources for RISC-V designs, supporting the application of RISC-V CPUs from soft cores to hard cores in FPGA fabrics and system-level chip (SoC) FPGAs.

In 2023, Microchip Technology showcased RISC-V-based expansion solutions, partnerships, and system design tools at the RISC-V Summit. These solutions include new BeagleBoard hardware and system-on-module (SoM) products, as well as AI/machine learning solutions and industrial edge solution kits.

Microchip Technology has also launched its first RISC-V-based system-level chip FPGA, the PolarFire SoC FPGA, which has entered mass production. PolarFire SoC is a fully FPGA fabric-based RISC-V-based SoC, and the system includes a RISC-V microprocessor subsystem in hardened FPGA logic.

Furthermore, Microchip Technology's first RISC-V-based FPGA provides twice the power efficiency of mid-range FPGA competitors and features a first-class design, operating system, and solution ecosystem. Microchip's Mi-V ecosystem continues to drive the adoption of RISC-V and enables industrial, IoT, and other edge computing products to be smaller, more efficient, and lower cost.

Microchip Technology has also won a $50 million project to develop the next-generation high-reliability space mission processor based on RISC-V technology, in collaboration with European engineers. Additionally, the Jet Propulsion Laboratory (JPL) of NASA has selected Microchip to design and manufacture a multicore high-performance space flight computer (HPSC) microprocessor SoC based on the RISC-V X280 core. The HPSC contains eight RISC-V X280 cores with vector processing instruction extensions in two clusters, as well as four additional RISC-V cores for general-purpose computing.

 

Canaan Creative

Canaan Creative
 

Canaan Creative, also known as Canaan Inc., is a computer hardware manufacturer headquartered in China. Founded in 2013, Canaan Creative specializes in the production of blockchain servers and ASIC microprocessors used for Bitcoin mining. The company is dedicated to ASIC high-performance computing chip design, chip research and development, computing device production, and software services.

 

Kendryte K210

Kendryte K210

The world's first large-scale production of a 7nm chip based on self-developed technology and the introduction of the self-innovated commercial intelligent edge computing chip Kendryte K210 based on RISC-V. K210 is the world's first commercial edge AI chip based on the RISC-V architecture and is widely used in access control systems such as smart locks.

Canaan Creative has made significant breakthroughs in the development and application of RISC-V architecture chips, especially in the field of edge AI chips, demonstrating its leadership in high-performance computing and artificial intelligence.

 

Kendryte K510

Kendryte K510

An independently designed and developed RISC-V-based edge AI chip, which was launched at the World Artificial Intelligence Conference in 2021.

 

Kendryte K230

Kendryte K230

The third-generation RISC-V AIoT chip K230, focusing on stereoscopic vision and high-performance AI. The release of this product demonstrates Canaan Creative's innovative capabilities based on RISC-V technology, especially in providing digital new infrastructure computing power.

The K230, a third-generation edge-side RISC-V AIoT chip, is focused on computer vision and high-performance AI. The release of this product demonstrates GigaDevice's innovative capabilities in RISC-V technology, particularly in providing computational power for digital infrastructure construction.

The above diagram illustrates the main functional components of the chip. The KPU represents the AI engine, a dedicated processor that includes a self-developed third-generation AI KPU engine. The KPU possesses "two highs and two multiples" characteristics. It offers higher performance and high utilization of computing power, with utilization rates exceeding 70% for some typical networks, which is highly advanced in the industry. "Two multiples" refer to multimodality and the completeness and diversity of AI tool vision. Multimodality enables support for vision, speech, translation, and more, while AI tools support a wide range of operators and deployment options.

The CPU is a result of deep collaboration with Alibaba T-Head. It utilizes the "XuanTie C908 dual-core processor." The DPU incorporates a self-developed high-definition 3D depth engine for computer vision applications, providing high precision and supporting full HD 1080P stereoscopic vision in medium-range applications, such as facial recognition door locks and facial payment systems. Other components include a video processor that supports 4K ultra-high-definition input. Significant enhancements have been made in image and graphic display, with excellent support for full-process encoding and decoding, multi-camera setups, and more through the entire media pipeline.

Due to space constraints, this is where the first part of the article concludes. In the second part, we will briefly introduce the vendors providing IP cores and architectural designs for RISC-V, as well as the vendors offering software, simulation, and tools for RISC-V.

REVIEW